[PATCH 04/11] gpio: tegra: Iterate over the correct number of banks

Olof Johansson olof at lixom.net
Wed Apr 4 13:58:29 EDT 2012


On Fri, Mar 30, 2012 at 04:59:57PM -0600, Stephen Warren wrote:
> From: Stephen Warren <swarren at nvidia.com>
> 
> When Tegra30 support was added to the Tegra GPIO driver, a few places
> which iterated over all banks were not converted to use the variable
> tegra_gpio_bank_count rather than hard-coding the bank count. Fix this.
> 
> Signed-off-by: Stephen Warren <swarren at nvidia.com>

Acked-by: Olof Johansson <olof at lixom.net>

Should this be fixed in 3.4 as well? Given the need for some of the other
patches in this series I suppose gpio isn't really working on tegra30 there
though, so it's not technically a regression...


-Olof



More information about the linux-arm-kernel mailing list