[PATCH 1/1] route/addr: consider CACHEINFO in addr_compare/nl_object_diff()

Thomas Graf tgraf at suug.ch
Thu Nov 27 03:31:16 PST 2014


On 11/27/14 at 11:38am, Thomas Haller wrote:
> Indicate this change of behavior with the capability
> NL_CAPABILITY_ROUTE_ADDR_COMPARE_CACHEINFO.
> 
> This is a behavioral change as we now consider an additional
> field when comparing addresses.
> 
> Signed-off-by: Thomas Haller <thaller at redhat.com>

Acked-by: Thomas Graf <tgraf at suug.ch>



More information about the libnl mailing list